Welcome![Sign In][Sign Up]
Location:
Search - frequency hex

Search list

[Other resource单片机频率计

Description: 此频率计是用单片机89C51和几块数字电路几个三极管,和一个微波集成电路构成。可测量频率最高为2G!分辨力为1HZ!电路中R16---R27电阻阻值为1K。这文件包里有两符制作成功后的图片! 二个SCH。一个PCB文件。一个PDF文件。和一个程序HEX文件。制作的时候只要按线路板接好元件,然后把程序HEX文件烧写到单片机内,就可以调试了,希望大家成功。其中高稳定振荡电路SCH文件是我新加上的。如果大家有条件用上这电路也不错。那样频率计将更稳定。频率计的PCB是我设计的。 设计得不太好,希望大家多多提出意见和建议。希望大家不要修改PCB文件。 注:解压密码deyiluntan-this Cymometer is 89C51 microcontroller and a few digital circuit several triode, and constitute a microwave integrated circuits. The maximum measurable frequency for 2G! Resolution of 1HZ! Circuit R16-R27 resistor 1K. This paper bag has produced two successful website after pictures! 2 SCH. A PCB document. A PDF document. HEX and a procedures document. Whenever produced by the PCB then good components, then burning procedures HEX file to SCM, can debug, I hope you succeed. These high stability oscillation circuit SCH document is a new addition. If we conditional use this circuit is a good one. As the frequency of dollars will be more stable. Frequency of PCB is my design. Design it very well, we hope that many opinions and suggestions. We should not amend the PCB document. Note : extracti
Platform: | Size: 1028980 | Author: 耿铭慈 | Hits:

[Other resourceATMega8_PWM_LCD

Description: 利用Atmel ATMega8 的定时器功能和PWM功能,制作频率发生器。 有LCD显示,并可实时调节ATMega8的各个相关的寄存器内容。 支持红外遥控器输入。 ..\\频率发生器.prj ......主芯片项目文件 ..\\频率发生器.c ......主芯片主程序 ..\\频率发生器.asm ......主芯片汇编文件(自动生成) ..\\频率发生器.hex ......可供烧录的文件 ..\\频率发生器_client.prj ......副芯片项目文件 ..\\频率发生器_client.c ......副芯片主程序 ..\\频率发生器_client.asm ......副芯片汇编文件 ..\\频率发生器_client.hex ......可供烧录的文件 ..\\Config.h ......硬件配置文件 ..\\Input.c ......接受红外遥控器输入并在LCD中显示 ..\\LCD_Interface.c ......LCD 1602 接口文件 ..\\Menu.c ......在LCD中显示菜单和其它信息 ..\\Menu.h ......菜单定义文件 ..\\Readme.txt ......本说明文件-use the timer function and PWM function, the production of frequency generator. A LCD display, real-time adjustments can socket all the relevant registers contents. Support infrared remote control input. .. \\ Frequency generator. Prj ...... main chip project document .. \\ frequency generator. C ..... . Main Chip main program .. \\ frequency generator. asm2 ...... main chip compilation document (automatic generation) .. \\ frequency generator. hex ...... for copying documents .. \\ frequency generator _client.p rj ...... vice chip project document .. \\ frequency generator vice-_client.c ...... film main program .. \\ frequency generator _client.asm ...... Deputy Chip compilation .. \\ frequency _client.hex ...... rate generator for copying documents .. \\ Config.h. ..... hardware configuration fi
Platform: | Size: 61499 | Author: Aleyn.wu | Hits:

[SCMATMega8_PWM_LCD

Description: 利用Atmel ATMega8 的定时器功能和PWM功能,制作频率发生器。 有LCD显示,并可实时调节ATMega8的各个相关的寄存器内容。 支持红外遥控器输入。 ..\频率发生器.prj ......主芯片项目文件 ..\频率发生器.c ......主芯片主程序 ..\频率发生器.asm ......主芯片汇编文件(自动生成) ..\频率发生器.hex ......可供烧录的文件 ..\频率发生器_client.prj ......副芯片项目文件 ..\频率发生器_client.c ......副芯片主程序 ..\频率发生器_client.asm ......副芯片汇编文件 ..\频率发生器_client.hex ......可供烧录的文件 ..\Config.h ......硬件配置文件 ..\Input.c ......接受红外遥控器输入并在LCD中显示 ..\LCD_Interface.c ......LCD 1602 接口文件 ..\Menu.c ......在LCD中显示菜单和其它信息 ..\Menu.h ......菜单定义文件 ..\Readme.txt ......本说明文件-use the timer function and PWM function, the production of frequency generator. A LCD display, real-time adjustments can socket all the relevant registers contents. Support infrared remote control input. .. \ Frequency generator. Prj ...... main chip project document .. \ frequency generator. C ..... . Main Chip main program .. \ frequency generator. asm2 ...... main chip compilation document (automatic generation) .. \ frequency generator. hex ...... for copying documents .. \ frequency generator _client.p rj ...... vice chip project document .. \ frequency generator vice-_client.c ...... film main program .. \ frequency generator _client.asm ...... Deputy Chip compilation .. \ frequency _client.hex ...... rate generator for copying documents .. \ Config.h. ..... hardware configuration fi
Platform: | Size: 61440 | Author: Aleyn.wu | Hits:

[SCMPLJ

Description: 基于AT89C2051的频率计,包括源码和电路图-Based on the frequency meter AT89C2051, including source code and schematics
Platform: | Size: 69632 | Author: 陆楷 | Hits:

[SCMfre

Description: 基于等精度测频原理,设计的等精度频率计,测试结果很精确,达到0.01HZ。-Such as the accuracy of frequency measurement based on the principle of design, such as precision frequency meter, test results are accurate to 0.01HZ.
Platform: | Size: 287744 | Author: MAZEMIN | Hits:

[SCMplj

Description: 这是一个基于51单片机的频率计,本人已经试过。里面还有PROTEUS仿真图-This is a microcontroller based on 51 frequency counter, I have tried. There is also PROTEUS simulation diagram
Platform: | Size: 58368 | Author: 黄化 | Hits:

[SCMucosii51

Description: 这是一个用51单片机系统仿真ucosii工作的protues电路 本系统包括扩展64k RAM的单片机系统和杨屹移植到51单片机的ucosii 系统 使用方法: 1.安装Protues7.4版,然后用protues打开protues 8051 simulation 文件夹 中的extern ram.DSN 文件 2.打开extern ram.DSN 后,双击单片机在弹出的窗口中的Program File 选项 中选择yy.hex文件。 (yy.hex其实就是yy文件夹中keil文档编译出来的hex文 件,yy文件夹是杨屹的ucos51 系统,用keil 2 可以打开) 3.在Clock Frequency选项中输入22.184M(杨屹的ucos51 用的是22.184M hz的单片机频率) 4.双击VTERM串口调试显示屏,在Baud Rate 选项中选择19200的波特率 5.保存,点击运行就可以看到经典的hello world 了。 -1. Protues7.4 version installed, and then open the protues folder protues 8051 simulation The extern ram.DSN file 2. Open extern ram.DSN, double-click the pop-up window of SCM in the Program File option, select yy.hex file. (yy.hex actually yy folder keil out of the document compiled hex files, yy folder is Yang Yi s ucos51 system, using keil 2 Can open) 3. In the Clock Frequency option to enter 22.184M (Yang Yi of the ucos51 using a microcontroller 22.184M hz frequency) 4. Double-click VTERM serial debug display, in the Baud Rate select 19200 baud rate options 5. Save, click Run you can see the classic hello world
Platform: | Size: 266240 | Author: 官卫乾 | Hits:

[SCMshuk

Description: 数控调频台控制器 26H-29H放显示小数位、个位、十位、百位BCD码数,24H-25H放频率控制数据(十六进制) -CNC controller 26H-29H FM show decimal places up, a bit, 10, 100 BCD code number, 24H-25H up frequency control data (hex)
Platform: | Size: 5120 | Author: 王海峰 | Hits:

[VHDL-FPGA-Verilogfreq

Description: 本程序是基于vhdl语言的8位16进制频率计,待测频率范围是1HZ~100MHZ。-This procedure is based on the vhdl language 8 16 hex frequency, frequency range tested 1HZ ~ 100MHZ.
Platform: | Size: 695296 | Author: 张东林 | Hits:

[SCMSOUNDER

Description: ST套件产生报警音 本实例的目的是让大家学会用单片机产生声音的方法,学会计算程序所用时间 本实例的功能是能PIC16F84产生报警音,频率分两个0.1s第一个 0.1S频率从1.8K-3.5K匀速增加第二个0.1S频率从3.5K-1.8K匀速减少, 程序复位后,蜂鸣器开始报警 PIC单片机学习网-----http://www.pic16.com 烧写时应注意:配置位已经在程序中写明,加载HEX文件时会自动加载,烧写时不用再手动设置配置位。 实验时请注意套件跳线选择;- ST kit sound an alarm this instance the goal is to produce the sound you learn to use the SCM approach, learn procedures used in calculating the time the instance of an alarm function is to PIC16F84 tone, frequency, the first two 0.1s 0.1S uniform frequency from 1.8K-3.5K to add a second frequency from 3.5K-1.8K 0.1S uniform reduction program reset, buzzer alarm PIC MCU Learning Network----- http:// www. pic16.com programming should pay attention to: Configuration bit has been stated in the program, load the HEX file will be automatically loaded when you no longer need to manually set the programmed configuration bits. Test suite, please note the jumper options
Platform: | Size: 2048 | Author: kkjut | Hits:

[VHDL-FPGA-Verilogstopwatch

Description: 此为秒表计数器的硬件描述语言源程序,有清零键和暂停键。该例子比较简单,适合初学者。有分频、十进制、六进制、秒表共四部分组成-This is the stopwatch counter hardware description language source code , a clear key and the Pause button . The example is simple , suitable for beginners . Took part in the frequency , decimal , hex , a total of four components stopwatch
Platform: | Size: 185344 | Author: jacob | Hits:

[VHDL-FPGA-VerilogThe-way-of-divide-and-hex

Description: 这个文件中介绍了分频和各种进制编写的几种方法,VHDL语言,-This file is described in several sub-frequency and a variety of hex write the VHDL language,
Platform: | Size: 2048 | Author: 朱振军 | Hits:

[VHDL-FPGA-Verilogra3_lib

Description: serial FIR filter with 2048 tap. Clock runs 4048 times faster than sampling frequency to finish FIR filter calculations before the next sample. Filter coefficients can be loaded in ROM as .hex file. Suitable for room reverberation and high order filters. Open with mentor graphics HDS or use auto generated .vhd files-serial FIR filter with 2048 tap. Clock runs 4048 times faster than sampling frequency to finish FIR filter calculations before the next sample. Filter coefficients can be loaded in ROM as .hex file. Suitable for room reverberation and high order filters. Open with mentor graphics HDS or use auto generated .vhd files
Platform: | Size: 5277696 | Author: Abdullah | Hits:

[Otherzonghe

Description: 实现12进制异步计数器,内置分频模块,可以下载到单片机上查看结果-12 hex asynchronous counter, built-in frequency module, can be downloaded to view the results on the single-chip
Platform: | Size: 192512 | Author: ct | Hits:

[SCMchuankoufengmingqi

Description: 【使用说明】:使用串口调试助手或STC下载软件自带的串口调试向单片机 发送十六进制‘1’,‘2’,‘3’,‘4’ 蜂鸣器将以不同的频率鸣 叫 同时8位led显示接收到的数字的十六进制值-[Instructions]: use serial debugging assistant or STC download software comes with serial debugging sent to the microcontroller hex ' 1 ' , ' 2' , ' 3 ' , ' 4' buzzer at different frequency chirping while 8 led the hexadecimal value of the received digital
Platform: | Size: 32768 | Author: 琴酒 | Hits:

[Embeded Linuxcounter

Description: 频率计的一个模块,即计数器,六进制和十进制级联,构成六十计数器-Frequency of a module, counter, hex and decimal cascade of constitute sixty counter
Platform: | Size: 1024 | Author: 李雪 | Hits:

[VHDL-FPGA-VerilogddsProm

Description: dds 频率可控,32位 输出为12位 已含有.hex文件,直接装载致ROM即可~-dds frequency-controlled, 32-bit output is 12 already contains. hex file can be loaded directly caused ROM ~
Platform: | Size: 12288 | Author: jiangzhe | Hits:

[SCMchuankou-

Description: 注意:1.51单片机的晶振频率我设置的是11.0592MHz,单片机载入的program file可以是HEX,也可以是BIN 2.SCMR表示单片机接收到的内容,SCMT代表单片机发送出去的内容 3.我的串口设置如下图(主要设置波特率,这里是9600)-Note: 1.51 MCU crystal frequency I set 11.0592MHz, microcontroller program file can be loaded HEX, BIN can also be 2.SCMR said microcontroller received content, SCMT sent out on behalf of SCM content 3 My serial port settings as shown below (the main set the baud rate, here is 9600)
Platform: | Size: 345088 | Author: 子兮 | Hits:

[SCM源文件

Description: ad9850扫频信号文件及hex文件。。。(AD9850 sweep frequency signal files and hex files...)
Platform: | Size: 4096 | Author: 冉旭本人 | Hits:

[SCM数字测温并显示实验

Description: 基于ARM Cortex-M4F(TM4C123)单片机 ,用微控制器的I2C模块接TMP75数字温度传感器测温;按要求对测温结果进行处理 【基本功能】 (1)使用Timer 定时方式测温,测温频率2次/秒 (2)从UART 输出实时温度,温度值以如下方式显示: Actual temperature = 34.9375 Centigrade. (每0.5秒更新一次) (3)在液晶屏上显示实时温度,按如下方式显示。(每0.5秒更新) 第1行: 温度寄存器字节1,T11-T4,HEX或DEC格式均可 第2行: 温度寄存器字节2,T3-T0,HEX或DEC格式均可 第3行: 温度= 20.0625 ℃ (包括小数)(Based on the ARM Cortex-M4F (TM4C123) MCU, the I2C module of the microcontroller is connected with the TMP75 digital temperature sensor to measure the temperature, and the temperature measurement result is processed according to the requirement. [basic function] (1) use Timer timing mode to measure the temperature and measure the frequency 2 times / sec. (2) output real-time temperature and temperature values from UART display as follows: Actual temperature = 34.9375 Centigrade. (updated every 0.5 seconds) (3) display the real-time temperature on the LCD screen as shown in the following way. (update every 0.5 seconds) First line: temperature register byte 1, T11-T4, HEX or DEC format can be. Second line: temperature register byte 2, T3-T0, HEX or DEC format can be. Third lines: temperature = 20.0625 C (including decimal))
Platform: | Size: 3320832 | Author: Der_steppenwolf | Hits:
« 12 »

CodeBus www.codebus.net